P1 200 72 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111000000000000000000000000000000000000000000000000000000000000000000000000000000000 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111111000000000000000000000000000000000000000000000000000000000000000000000000000000 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111111111110000000000000000000000000000000000000000000000000000000000000000000000000000 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111111111111000000000000000000000000000000000001100000000000000000000000000000000000000 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111111111111100000000000000000000000000000011111111110000000000000000000000000000000000 00000000000000000000000000000000000001111100000000000000000000000000000000000000000000011110000000000000001111111110001111111100000000000000000000000000000111111111111111000000000000000000000000000000 00000000000000000000000000000000001111111110000000000000000000000000000000000000001111111110000000000000011111111100000111111100000000000000000000000000001111111111111111100000000000000000000000000000 00000000000000000000000000000000011111111110000000000000000000000000000000000110111111111100000000000000011111111100000111111110000000000000000000000000001111111111111111110000000000000000000000000000 00000000000000000000000000000000111111111110000000000000000000000000000000011111111111111100000000000000111111111000000111111110000000000000000000000000001111111111111111110000000000000000000000000000 00000000000000000000000000000011111111111110000000000000000000000000011111111111111111111000000000000000111111111000000111111110000000000000000000000000001111111111111111111000000000000000000000000000 00000000000000000000000000000111111000111110000000000000000000000111111111111111111111111000000000000001111111111000000111111110000000000000000000000000011111111111111111111000000000000000000000000000 00000000000000000000000000001111100000011110000000000000000011111111111111111111111111111000000000000001111111110000000111111111000000000000000000000000011111111111111111111000000000000000000000000000 00000000000000000000000000111111000000001100000000000000000111111111111111111111111111110000000000000011111111110000000111111111000000000000000000000000011110100011111111111100000000000000000000000000 00000000000000000000000000111110000000000000000000000000000111111111111111111111111111100000000000000011111111110000001111111111000000000000000000000000000000000000111111111100000000000000000000000000 00000000000000000000000001111100000000000000000000000000000111111111111111111111111111000000000000000011111111110000001111111111000000000000000000000000000000000000011111111100000000000000000000000000 00000000000000000000000011111000000000000000000000000000000111111111111000001111111110000000000000000011111111110000001111111111000000000000000000000000000000000000011111111100000000000000000000000000 00000000000000000000000111110000000000000000000000000000001111111111000000011111111100000000000000000011111111100000001111111111000000000000000000000000000000000000011111111000000000000000000000000000 00000000000000000000000111110000000000000000000000000000001111100000000000111111111100000000000000000111111111100000001111111111000000000000000000000000000000000000011111111000000000000000000000000000 00000000000000000000001111100000000000000000000000000000001000000000000000111111111000000000000000000111111111100000001111111111000000000000000000000000000000000000111111111100000000000000000000000000 00000000000000000000011111100000000000000000000000000000000000000000000001111111110000000000000000000111111111100000001111111111000000000000000000000000000000000000111111111000000000000000000000000000 00000000000000000000011111000000000000000000000000000000000000000000000011111111110000000000000000000111111111000000011111111110000000000000000000000000000000000001111111111000000000000000000000000000 00000000000000000000111111000000000000000000000000000000000000000000000111111111100000000000000000000111111111000000011111111110000000000000000000000000011100000011111111110000000000000000000000000000 00000000000000000000111111000000000000000000000000000000000000000000001111111111000000000000000000000111111111000000011111111100000000000000000000000000111111111111111111110000000000000000000000000000 00000000000000000000111110000000001111110000000000000000000000000000011111111110000000000000000000000111111111000000111111111100000000000000000000000000111111111111111111100000000000000000000000000000 00000000000000000001111110000001111111111100000000000000000000000000011111111100000000000000000000000011111111000000111111111100000000000000000000000001111111111111111111100000000000000000000000000000 00000000000000000001111110000111111111111110000000000000000000000000111111111000000000000000000000000011111111100000111111111100000000000000000000000001111111111111111110000000000000000000000000000000 00000000000000000001111100011111111111111111000000000000000000000001111111110000000000000000000000000011111111110001111111111100000000000000000000000011111111111111111100000000000000000000000000000000 00000000000000000001111110111111100111111111100000000000000000000001111111110000000000000000000000000001111111111111111111111100000000000000000000000011111111111111111000000000000000000000000000000000 00000000000000000011111111111100000001111111100000000000000000000011111111100000000000000000000000000001111111111111111111111100000000000000000000000011111111111111111100000000000000000000000000000000 00000000000000000011111111000000000000111111100000000000000000000111111111100000000000000000000000000000111111111111111111111000000000000000000000000001111111111111111110000000000000000000000000000000 00000000000000000011111110000000000000111111100000000000000000000111111111000000000000000000000000000000011111111111111111111000000000000000000000000000000001111111111110000000000000000000000000000000 00000000000000000011111110000000000000011111100000000000000000001111111110000000000000000000000000000000000000000000111111111000000000000000000000000000000000111111111110000000000000000000000000000000 00000000000000000011111110000000000000011111100000000000000000011111111110000000000000000000000000000000000000000001111111111000000000000000000000000000000000011111111111000000000000000000000000000000 00000000000000000011111110000000000000011111110000000000000000011111111100000000000000000000000000000000000000000001111111110000000000000000000000000000000000001111111111000000000000000000000000000000 00000000000000000011111110000000000000011111110000000000000000011111111000000000000000000000000000000000000000000001111111110000000000000000000000000000000000001111111111100000000000000000000000000000 00000000000000000011111110000000000000011111110000000000000000111111110000000000000000000000000000000000000000000011111111110000000000000000000000000000000000001111111111100000000000000000000000000000 00000000000000000011111110000000000000011111110000000000000001111111110000000000000000000000000000000000000000000011111111100000000000000001100000000000000000001111111111000000000000000000000000000000 00000000000000000011111110000000000000011111110000000000000001111111100000000000000000000000000000111100000000000011111111100000000000000111111000000000000000011111111111000000000000000000000000000000 00000000000000000001111111000000000000011111110000000000000011111111100000000000000000000000000000111100000000000011111111100000000000000111111110000000000000011111111111000000000000000000000000000000 00000000000000000001111111000000000000111111100000000000000011111111000000000000000000000000000001111100000000000111111111000000000000001111111111100000000000111111111111000000000000000000000000000000 00000000000000000001111111000000000000111111100000000000000111111110000000000000000000000000000001111110000000001111111110000000000000001111111111111100000011111111111111000000000000000000000000000000 00000000000000000000111111100000000000111111000000000000001111111100000000000000000000000000000001111110000000011111111110000000000000011111111111111111111111111111111111000000000000000000000000000000 00000000000000000000011111111000000001111111000000000000011111111000000000000000000000000000000001111111000000111111111000000000000000111111111111111111111111111111111111000000000000000000000000000000 00000000000000000000011111111111001111111110000000000000011111110000000000000000000000000000000001111111111111111111110000000000000000111111111111111111111111111111111110000000000000000000000000000000 00000000000000000000001111111111111111111100000000000000011111000000000000000000000000000000000001111111111111111111100000000000000000011111111111111111111111111111111100000000000000000000000000000000 00000000000000000000000001111111111111110000000000000000000000000000000000000000000000000000000000111111111111111111000000000000000000000111111111111111111111111111111100000000000000000000000000000000 00000000000000000000000000001111111111000000000000000000000000000000000000000000000000000000000000001111111111111100000000000000000000000001111111111111111111111111111000000000000000000000000000000000 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111100000000000000000000000000000000111111111111111111111100000000000000000000000000000000000 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111111111000000000000000000000000000000000000 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111110000000000000000000000000000000000000000 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000